↑↑↓↓←→←→ⒷⒶ Войти !bnw Сегодня Клубы
Привет, TbI — HRWKA! 1235.0 пользователей не могут ошибаться!
?6909
прекрасное6424
говно5887
говнорашка5512
хуита4695
anime3056
linux2645
music2623
bnw2588
рашка2560
log2337
ололо2113
pic1813
дунч1734
сталирасты1488
украина1437
быдло1434
bnw_ppl1370
дыбр1237
гімно1158

http://opencores.org/project,zet86
Реализация x86 SoC на Verilog для FPGA

#BX4563 (0) / @octagram / 3997 дней назад
Итак, первая попытка практического тыканья верилога привела к созданию brainfuck-машины с фон-неймановской организацией памяти: http://paste.pocoo.org/show/538134/ - исходник http://paste.pocoo.org/show/538136/ - пример работы (в файлик bf-machine.dump при этом валится полный трейс сигналов, который можно посмотреть gtkwave) Хелловорлд исполняется за 3309 тактов. Реализация достаточно кривая, забит болт на синтезируемость и тайминги (поел говна с гонками немного), но работает. Планируемые апдейты: - синтезируемость - конвееризация (хотя нахуя? и так все в доступ к памяти упирается) - попробовать VHDL
#J3OP66 (0) / @lexszero / 4480 дней назад
Чото не могу придумать, как реализовать брейнфаковые [ ] лучше, чем линейным поиском вперед/назад за соответствующее расстоянию между скобками количество тактов (обращение к памяти - один такт). БРЕЙНФАК СЛОЖНЫЙ!
#K3MIBI (0) / @lexszero / 4480 дней назад
lexs@nyapad ~/tmp/hdl $ cat counter.v module counter(input clk, input reset, output reg [3:0] q); always @ (posedge reset) q <= 4'b0000; always @ (posedge clk) q <= q + 1'b1; endmodule module main; reg clk; reg reset; wire [3:0] q; counter cnt(clk, reset, q); initial begin $display("Hello!"); clk = 0; reset = 1; #1; reset = 0; end always begin clk <= !clk; #1; end always @ (clk) $display("clk=%b q=%b", clk, q); endmodule lexs@nyapad ~/tmp/hdl $ iverilog counter.v -o counter lexs@nyapad ~/tmp/hdl $ ./counter | head -n 10 Hello! clk=0 q=xxxx clk=1 q=0000 clk=0 q=0001 clk=1 q=0001 clk=0 q=0010 clk=1 q=0010 clk=0 q=0011 clk=1 q=0011 clk=0 q=0100 ДА У МЕНЯ ЖЕ ОЛОЛОГИКА!!111 чего бы такого написать? :3
#WMHTJC (0+1) / @lexszero / 4480 дней назад
ipv6 ready BnW для ведрофона BnW на Реформале Викивач Котятки

Цоперайт © 2010-2016 @stiletto.