Я и мой ёбаный кот на фоне ковра. Войти !bnw Сегодня Клубы
Итак, первая попытка практического тыканья верилога привела к созданию brainfuck-машины с фон-неймановской организацией памяти: http://paste.pocoo.org/show/538134/ - исходник http://paste.pocoo.org/show/538136/ - пример работы (в файлик bf-machine.dump при этом валится полный трейс сигналов, который можно посмотреть gtkwave) Хелловорлд исполняется за 3309 тактов. Реализация достаточно кривая, забит болт на синтезируемость и тайминги (поел говна с гонками немного), но работает. Планируемые апдейты: - синтезируемость - конвееризация (хотя нахуя? и так все в доступ к памяти упирается) - попробовать VHDL
#J3OP66 / @lexszero / 4492 дня назад

ipv6 ready BnW для ведрофона BnW на Реформале Викивач Котятки

Цоперайт © 2010-2016 @stiletto.