Я и мой ёбаный кот на фоне ковра. Войти !bnw Сегодня Клубы
Привет, TbI — HRWKA! 1235.4 пользователей не могут ошибаться!
?6907
прекрасное6424
говно5886
говнорашка5512
хуита4695
anime3056
linux2645
music2620
bnw2588
рашка2559
log2337
ололо2113
pic1812
дунч1734
сталирасты1488
украина1437
быдло1434
bnw_ppl1370
дыбр1237
гімно1158

Стало уныло без няшных скриптов urxvt. evilvte → urxvt.
#Q1YM4M (0) / @rynffoll / 4327 дней назад
При переключении с терминала на терминал и его запуске - он мигает. Как фиксится?
#UJY3JO (15+1) / @rynffoll / 4381 день назад
ipv6 ready BnW для ведрофона BnW на Реформале Викивач Котятки

Цоперайт © 2010-2016 @stiletto.