Два года в /fg/. Войти !bnw Сегодня Клубы
УНЯНЯ. У нас есть немножечко инфы об этом пользователе. Мы знаем, что он понаписал, порекомендовал и даже и то и другое сразу. А ещё у нас есть RSS.
Теги: Клубы:

bnw
Значит, теги низя блеклистить? <bnw.blasux.ru> BACKEND (CATCHED) ERROR! IMMEDIATELY REPORT THIS SHIT TO MY STUPID AUTHOR!!! Traceback (most recent call last): File "/home/bnw/bnwach/stupid_handler.py", line 36, in idiotic defer.returnValue((yield bnw_xmpp.handlers.parsers[iparser].handle(xmsg))) TypeError: 'str' object is not callable Command which caused this exception: BL *bug
#XOMHX1 (6) / @lsd / 4888 дней назад
Я, хоть и блондинко, модемчик для подключения к инету настроила
#2F148C (5) / @lsd / 4888 дней назад
Привет, мальчишки :)
#5WTMEA (0+1) / @lsd / 4892 дня назад
Просто хочется.
#7G1NKT (3) / @lsd / 4895 дней назад
bnw
Напомните мне, пожалуйста, BL уже есть? Можно туда теги кидать? Спасибо.
#3QKFTG (0) / @lsd / 4896 дней назад
Споки ночи, мальчики :)
#M97FA5 (0) / @lsd / 4897 дней назад
Мляцтво какое-то. Эти человекоботы хреначат свою ленту сразу в несколько источников! И им это заипись!
#Y00777 (3) / @lsd / 4897 дней назад
bnw
О! Теперь номера сообщений - циферками)) Я, по традиции, испугалась)
#GJPO4Y (7) / @lsd / 4897 дней назад
Доброе утро, мальчишки :)
#R3A4OE (4) / @lsd / 4898 дней назад
Споки, мальчики :)
#N8WR3Q (1) / @lsd / 4898 дней назад
bnw
Опишите D в справке по жуйкоподобному интерфейсу.
#I5L62X (3) / @lsd / 4898 дней назад
У меня уже 3 читателя в этой неведомой фигне :) Уиии!
#CU3PHM (0) / @lsd / 4899 дней назад
Надеюсь, на улице нет дождя.
#4JR86J (1) / @lsd / 4899 дней назад
Я - лолли. Хз, что это, правда.
#YID01M (39) / @lsd / 4899 дней назад
Бугагашенька :) http://juick.com/1072663
#RW0ELG (0) / @lsd / 4899 дней назад
Уже кто-нибудь организовал трансляцию сюда сообщений из внешних источников (скажем так)?
#QTPWX6 (4) / @lsd / 4899 дней назад
Веб-интерфейс в телефонном браузере просто убивает. Текст - столбец шириной в один символ. Обчитаешься.
#F1Z3GA (0) / @lsd / 4899 дней назад
ipv6 ready BnW для ведрофона BnW на Реформале Викивач Котятки

Цоперайт © 2010-2016 @stiletto.