Два года в /fg/. Войти !bnw Сегодня Клубы
УНЯНЯ. У нас есть немножечко инфы об этом пользователе. Мы знаем, что он понаписал, порекомендовал и даже и то и другое сразу. А ещё у нас есть RSS.
Теги: Клубы:

СЯУ что ядре есть поддержка специальных файликов в sysfs с информацией о том, бежит или идет юзер.

What: /sys/.../iio:deviceX/in_activity_still_input
What: /sys/.../iio:deviceX/in_activity_walking_input
What: /sys/.../iio:deviceX/in_activity_jogging_input
What: /sys/.../iio:deviceX/in_activity_running_input
KernelVersion: 3.19
Contact: linux-iio@vger.kernel.org
Description:
This attribute is used to read the confidence for an activity
expressed in units as percentage.

#X0H3MB (7) / @lexszero / 2639 дней назад

http://dump.lexs.blasux.ru/files/fepusyc/2016-11-13-054311_796x249_scrot.png
Вся суть попыток собрать сетап для музяки из швабодного софтваре. Прыщеди прыщебляди не могут договориться о нейминге стереоканалов (считать с нуля или единицы) и используют тупой метчинг едва ли не регекспами по остаточному принципу и как оно там соединится и куда в итоге хуй разберет. Не говоря уже о том, что весь этот джек-дрочь мне не потребовался если бы кутрактор хотя бы не сегфолтился

#QAZS10 (3) / @lexszero / 2722 дня назад

https://plus.google.com/+LinusTorvalds/posts/Cb5nY4yH6pd
шел 2020, пердолики чинят баги в настройках емыло-серверов.

#S1M5YC (5+1) / @lexszero / 2811 дней назад

Более десяти лет назад в своей работе «Операционная система UNIX» мы предложили обобщённый подход «проективной системы», формулирующий «основные положения» в терминах человеко-машинного взаимодействия, по возможности без описания реализации. По нашему мнению, этот подход (как и противопоставление «процедурной» организации) совершенно не потерял актуальности. Таким образом, смена технологий ставит проблему новой формулировки инвариантов, зато, по видимости, не обесценивает «путь UNIX» и обеспечивает преподавателя несколькими работающими вариантами реализации.

http://blogerator.ru/page/krizis-unix-way-i-fundamentalnoe-it-obrazovanie

dafuq я щас прочитал? фрики уже и прыщепостмодернизм придумали.

#DTBR59 (1) / @lexszero / 2962 дня назад

TIL incron
просто запускалка команд по inotify-событиям. раз пять уже писал эту хуйню на баше для разных юзкейсов и еще для многих поленился это делать.

#TT13SH (3+2) / @lexszero / 3031 день назад

По словам экспертов издания, исследования показали, что многим пользователям больше нравится работать со сложным и неопнятным для сторонних наблюдателей интерфейсом, поскольку это позволяет им испытывать гордость за то, что они смогли разобраться с таким сложным инструментом.

http://habrahabr.ru/company/itinvest/blog/273175/

#R8310O (8+2) / @lexszero / 3055 дней назад

TFW сидишь ковыряешь драйвер и случайно видишь коммит ASoC: fsl/mxs: Remove unnecessarily gendered language
Да еб же вашу мать.

#FB55M2 (4+1) / @lexszero / 3123 дня назад
Чят, я сегодня соснул. Сидел на вписке, мне предложили включить какую-нибудь музыку в блютусные колонки, а у меня линуск.
#SGOEG0 (35+4) / @lexszero / 3306 дней назад
[16:52]<cinap_lenrek> "By default, the kernel will not enable MSI/MSI-X on all devices that support this capability because some devices." [16:53]<cinap_lenrek> (9) reason [16:53]<cinap_lenrek> (this was from linux MSI-HOWTO.txt) спасибо катвтардам за изготовление хорошего мема.
#H5MERM (4) / @lexszero / 3341 день назад
[14:23]<lexszero> http://www.nixp.ru/news/13105.html [14:26]<Like-all> Ёб вашу мать [14:27]<Like-all> У одних ЭКВАЛИТИ, у других ГОСУДАРСТВЕННЫЙ ТЕРРОР БАЙТИКИ НЕМАТЕРИАЛЬНЫ [14:28]<Like-all> Хотя, без пизданутых на голову было бы скучно
#JWB6N7 (14+1) / @lexszero / 3386 дней назад
В юбуте есть КОМАНДНЫЙ ИНТЕРПРЕТАТОР, спизженный на уровне сорцов с какого-то мелкого никсового шелла. Из него с помощью ИФДЕФОВ сука выпилили всю никсовую хуету и так и оставил. Читать и допиливать это совершенно невозможно. Это не говоря уже о том, что и исходно сорцы преставляли собой адову кашу с longjmp() и прочими лулзами. ЧИСТИ ЧИСТИ БАЙТЫ СИШКОЙ ЧИСТИ ЧТОБ ЧИСТО БЫЛО [I]imx u-boot (v2015.01-rc3-swc|✚8…)> wc -l common/cli_hush.c 3689 common/cli_hush.c [I]imx u-boot (v2015.01-rc3-swc|✚8…)> egrep '#if.?def __U_BOOT__' common/cli_hush.c -c 123
#14KK88 (4+1) / @lexszero / 3393 дня назад
Через три года ковыряния в прыщеядре таки запилил самую нужную фичу: выставление аптайма. Патч: https://gist.github.com/anonymous/4740df21ec33d39c4db3 Заодно нашел баг в GNU uptime: nyapad / # echo 9999999999 > /proc/uptime nyapad / # uptime 01:38:05 up -24855 days, -3:-14, 0 users, load average: 0.00, 0.00, 0.00
#RFWCAO (4+4) / @lexszero / 3459 дней назад
Меня не очень удовлетворяет работа шифи-карточки в ноуте (RTL8188CE), поэтому решил попробовать драйвер с офсайта реалтека. Убил полтора часа на допиливание его до совместимости с современными прыщеапи, ибо с июля 2013 (таймстамп драйвера) в 80211-фреймворке ядра успели по мелочи наломать сигнатуры и структуры, оказывается. Допилил, собрался, инсмоднулся. При попытке поюзать свалил ядро в паник. При попытке загрузиться обнаружилось что у меня пустой иниттаб (не синкнулось при недавнем апдейте, наверное). А допиленный драйвер самовыпилился, так как я занимался этим в tmpfs. Нахуй и в пизду этот ваш кернелдевелопмент.
#9CBKWB (1+2) / @lexszero / 3478 дней назад
Еще один фреймворк ядра, в котором нихуя не понятно: IIO.
#QPAEA4 (0) / @lexszero / 3489 дней назад
https://dvdhrm.wordpress.com/tag/memfd/ В линуксе слишком мало реализаций shared memory, давайте добавим еще одну, со специальным костылем для леничкиных поделий.
#GR83K3 (1+1) / @lexszero / 3489 дней назад

http://bpaste.net/show/411555/
помогите, у меня в прыщеядре завелся json.
see also: clk_dump() in drivers/clk/clk.c

#8UKUM8 (5+2) / @lexszero / 3592 дня назад

ping6 ff02::1 -I eth0 не работает от рута и под strace.

[I]l…@n…d ~ > ping6 -V
ping6 utility, iputils-s20121221

#6VVORG (12) / @lexszero / 3612 дней назад

cat /usr/local/sbin/unfuck_wifi

!/bin/bash

killall -9 wpa_cli wpa_supplicant
rmmod rtl8192ce
/etc/init.d/net.wlan0 zap
sleep 1
modprobe rtl8192ce
/etc/init.d/net.wlan0 restart

Когда уже сделают нормальный voice recognition чтоб можно было орать ДАЙ ВАЙФАЙ СУКА ДАЙ ВАЙФАЙ?

#PU776X (16) / @lexszero / 3665 дней назад
ipv6 ready BnW для ведрофона BnW на Реформале Викивач Котятки

Цоперайт © 2010-2016 @stiletto.