Имбирь - твой спиритический овощ. Войти !bnw Сегодня Клубы
УНЯНЯ. У нас есть немножечко инфы об этом пользователе. Мы знаем, что он понаписал, порекомендовал и даже и то и другое сразу. А ещё у нас есть RSS.
Теги: Клубы:

[11:59:17]<TROLLIbHKPJ> : Куры, как элементы амбразуры. Куры, как элемент клавиатуры. Куры, как элемент макулатуры. Куры, как элементы и лемуры. Куры, как элементы и амуры. Куры, как элементы и ажуры. Куры, как элементы серы-буры. Problem? [11:59:19]<L29Ah_> поэтически-активные робаты набигают [11:59:22]--- TROLLIbHKPJ вышел(а) из комнаты: KУD1111!! KУDKUДKУДKUДAKHK1!11!!11! KUД KUD KУD KYДKУDATHHK1!!1!!!!!!1!!1!!1! KУD KUД KУДKYDAHKHT1!!11! KУD!1!!!1!1 KYD KUDKУДKUДKУДAHTK11!!1!1 KYДKUD KUД1111!!! KUДKУД KUD KUDAKTHK!!!!1!!!1!1!11!1!1 KУD KУД!!1!!!KUД!!1!1!1!KYДAKHT1!1!1!!1111!1 KUDKUД KУДATKTK1111!! KYD KUД!11!111!1KУDAHKT KYDKUДKУД KУДKУDKУDAHK!1!!111!!11!!1!1 KYD KUДKYD!!11!!!KUД!!!11!!!KYДKUДKУD!!!1! KYД!!!!1 KУДAHKT!!1!11111!!1111! KУДAKHTK1!1111!1!1! KUДKYД KUД!!1!!!KYД KУД KУDKUD KUD!11!1KYДAHKH1!111!!11111! KУД!1!1! KУD KУДKYDKUDATKTH!1!!!!1!1!111!11!!! KUD!111!!111 KУДKYD KUDKУД!11!!1!1! KUDKUDKУDAHKH1!!1!!!11 KУD1!11!KYDATHH!!11!1!!!1!! KУDKYD!!1!!!!!1KUD KYDATKK!111!1!11!! KYDKУД KUD1!!!!!11 KYDKYДATKT!!!!1!!1!! KУDKUД KYД111!1!!1!KYДAHKH!!11!!111!!!1 KУD111!!!!11 KUD11!11 KYДKУD11111KUDKУDAKTKH1!1111!1!1!!1!!! KUДKУДKYD KYД KUДKUД KUDKУДAKHTK!!!!11 KУД!!!1111KУД KYD1!1!!1KУД!111!!1KUДATHH1!!!!1!!!!!11! KUДAHKHK KUД11!!1KYД11!1!11 KУD KУDAKHK KUD KUDKYД KУDKYD!!1!!KУД!11!!!1KYДKУDATHH1!!!!!1!!1!1!!1 KUDKUД KUD1!!1!111 KУDKUД KUD KYД KУDAHK1111!!11!11! KУD1111!11KУDKYД KUDKUD KУДAHTT1111!1!1!!1!!!!1! KYD KUДKYД KUД KYД KУD!!111!! KUDATKH!!!11!!!!1111111!1 KYДKUD KUD KYD KYDAKTK!1111 KUД KUDKYD1!!!1!! Problem? [11:59:40]<L29Ah_> кто пишет эти тексты? [11:59:43]<L29Ah_> я его люблю
#ACMKJ8 (1) / @lexszero / 4948 дней назад
Реквестирую тян для обнимашек. Покормлю ухой из рыбьих голов.
#DSTJ8K (0+2) / @l29ah / 4950 дней назад
Вышел на улицу, а там -16. Ну охуеть теперь.
#32HJCD (0) / @lexszero / 4950 дней назад
Продал 32Mb SD-карточку за мешок грибов. КОНТРЭКОНОМИКА
#1W5HS9 (1+4) / @l29ah / 4950 дней назад
«Женщина — не человек, а всего лишь самка. Каждая женщина — девка, с которой можно обходиться, как вздумается. Ее жизнь стоит не больше, чем она получает за половое сношение»
#A49MW7 (1+1) / @lexszero / 4950 дней назад
Хочу кушать. Продам десять монет.
#U4EQMA (5+2) / @l29ah / 4951 день назад
А в природе существуют синтезаторы каких-нибудь HDL'ей на регистровом уровне прямо в схемы из кт315 или 155 логики? :3
#6WBJZK (2+1) / @lexszero / 4951 день назад
Итак, первая попытка практического тыканья верилога привела к созданию brainfuck-машины с фон-неймановской организацией памяти: http://paste.pocoo.org/show/538134/ - исходник http://paste.pocoo.org/show/538136/ - пример работы (в файлик bf-machine.dump при этом валится полный трейс сигналов, который можно посмотреть gtkwave) Хелловорлд исполняется за 3309 тактов. Реализация достаточно кривая, забит болт на синтезируемость и тайминги (поел говна с гонками немного), но работает. Планируемые апдейты: - синтезируемость - конвееризация (хотя нахуя? и так все в доступ к памяти упирается) - попробовать VHDL
#J3OP66 (0) / @lexszero / 4951 день назад
Чото не могу придумать, как реализовать брейнфаковые [ ] лучше, чем линейным поиском вперед/назад за соответствующее расстоянию между скобками количество тактов (обращение к памяти - один такт). БРЕЙНФАК СЛОЖНЫЙ!
#K3MIBI (0) / @lexszero / 4952 дня назад
lexs@nyapad ~/tmp/hdl $ cat counter.v module counter(input clk, input reset, output reg [3:0] q); always @ (posedge reset) q <= 4'b0000; always @ (posedge clk) q <= q + 1'b1; endmodule module main; reg clk; reg reset; wire [3:0] q; counter cnt(clk, reset, q); initial begin $display("Hello!"); clk = 0; reset = 1; #1; reset = 0; end always begin clk <= !clk; #1; end always @ (clk) $display("clk=%b q=%b", clk, q); endmodule lexs@nyapad ~/tmp/hdl $ iverilog counter.v -o counter lexs@nyapad ~/tmp/hdl $ ./counter | head -n 10 Hello! clk=0 q=xxxx clk=1 q=0000 clk=0 q=0001 clk=1 q=0001 clk=0 q=0010 clk=1 q=0010 clk=0 q=0011 clk=1 q=0011 clk=0 q=0100 ДА У МЕНЯ ЖЕ ОЛОЛОГИКА!!111 чего бы такого написать? :3
#WMHTJC (0+1) / @lexszero / 4952 дня назад
Реквестирую IDE или набор дискретных софтин под прыщи, чтобы поиграться с каким-нибудь hardware description language (пофиг какой, пока смотрю на верилог). На компиляцию пофиг (плисок никаких один хрен нету), хочу преимущественно крутой симулятор: задавать входы, смотреть времянки разных сигналов, искать гонки, етц. Пока попробую потыкать qucs, там вроде чото было на тему.
#U76RL4 (3+1) / @lexszero / 4952 дня назад
http://alixesby.livejournal.com/6392.html - особенности азиатского менеджмента. Одна из причин, почему я не пошел в самсунг.
#ATSJ8A (0+2) / @lexszero / 4952 дня назад
Облачные CLOUD технологии. http://www.supersimplestorageservice.com/
#NI2EDG (0+1) / @lexszero / 4952 дня назад
http://dump.bitcheese.net/files/icawudo/web20sux.png Чем больше у меня монитор, тем больше мне хочется убивать за такое.
#KRR7FB (43+1) / @lexszero / 4953 дня назад
алсо, надо притащить на работу ок кружку. я заебался бегать с ебучей чашкой на 100 мл, какой мудак это говно вообще придумал? нахуй нужен сосуд, вмещающий количество жидкости, недостаточное вообще ни для чего?
#WK7GDC (0+3) / @lexszero / 4954 дня назад
Чтобы нормально юзать копроративным емылом на ЫКСЧЕНДЖЕ, приходится юзать ужасный костыль davmail - кусок ЯВЫ, проксирующий спермоблядское HTTP RPC в человеческие IMAP и SMTP. Разумеется, сам эксчендж умеет POP3 (имап зачем-то выпилен одмином) и SMTP без костылей, но по факту SMTP не работает - при любой попытке отправить письмо меня посылает нахуй антиспам. Да, скажите мне заодно, зачем почтопроксе ГУЙ и ЕБАНАЯ ИКОНКА В ТРЕЕ?
#ZGU5BV (1) / @lexszero / 4954 дня назад
http://youtu.be/ve0OOSQ4p0Q АНТИРУФРАЙД
#BLXRID (2+1) / @lexszero / 4954 дня назад
В апстриме ткаббера до сих пор работает тыканье по http://lol.com/;quit
#O8NALL (3+2) / @lexszero / 4955 дней назад
Реквестируются англоязычные синонимы словам loli, whore, rape, mass rape, molestation, seduction. Желательно - максимально offensive и sexually explicit. Нужны для того чтобы назвать опенсорс проект. Задача - сорт оф протест против произошедшего с upskirt/sundown. Особенно приветствуются синониму масс рейпу, ввиду того, что проект про кое-что сетевое.
#1NKGJI (6+3) / @stiletto / 4955 дней назад
--
ipv6 ready BnW для ведрофона BnW на Реформале Викивач Котятки

Цоперайт © 2010-2016 @stiletto.