Имбирь - твой спиритический овощ. Войти !bnw Сегодня Клубы
УНЯНЯ. У нас есть немножечко инфы об этом пользователе. Мы знаем, что он понаписал, порекомендовал и даже и то и другое сразу. А ещё у нас есть RSS.
Теги: Клубы:

silver astroturfer

#A0PIOM (0) / @kerrigan / 2434 дня назад

Настало время признаться - я(и не только я) рисую кривые картиночки на постоянной основе https://vk.com/oekakiwin

#B6M9RY (22+5) / @kerrigan / 2500 дней назад

Не стоит убивать курицу несущую возмездие во имя луны

#D30JVE (4+1) / @kerrigan / 2718 дней назад

Alice in Toolchains

#IZZ7I3 (1) / @kerrigan / 2730 дней назад

6D5S89 - checked. Было несложно, но БЛЖАД ПОЧЕМУ СТОЛЬКО ДОХУЯ МАШИН? Проблема даже не в машинах, а в том как съехать на дорогу с тротуара. По времени заняло примерно столько же как метро, может минут на 5 меньше.

#3G8VCS (6) / @kerrigan / 2921 день назад

Я ебанулся и хочу доехать до работы на стриде, 4.9км вроде терпимое расстояние.

#6D5S89 (5) / @kerrigan / 2928 дней назад

Мечтают ли гиноиды о матриархате?

#TJ9A3V (0) / @kerrigan / 3040 дней назад
Параметрический полианархизм
#3BY4WO (0) / @kerrigan / 3103 дня назад
Тест на IDDQD
#LSHIYN (0) / @kerrigan / 3121 день назад
Внутривенный еврей
#G8VOWZ (0) / @kerrigan / 3222 дня назад
Лень ставить netbeans, пилю кусок кода через beanshell
#BF6P2T (0) / @kerrigan / 3249 дней назад
Профдефлорация
#JLTA5I (0+2) / @kerrigan / 3291 день назад
АНАРХИЯ КЛАССОВ
#5LHIM8 (0+1) / @kerrigan / 3336 дней назад
Дилд-сервер
#TS9YPY (0) / @kerrigan / 3385 дней назад
Бульбулятор терминала
#HCNZXL (0+1) / @kerrigan / 3390 дней назад
BAWWWWWW64 encoding
#R44JXC (0) / @kerrigan / 3396 дней назад
Блоббизм
#5LYSNG (0+1) / @kerrigan / 3419 дней назад
Бнвачер приходит за аутизмом, видит куркуму и пишет на жабоскрипте
#XXNFP3 (3+4) / @kerrigan / 3420 дней назад
Бордель-притон "Узбечка на гречке"
#ZSIEE3 (3) / @kerrigan / 3445 дней назад
Читаю книжку про аутистов, проигрываю каждый раз, когда его психолог начинает доебывать вопросами, а ему НЕПРИЯТНО.
#CJBBI8 (0+1) / @kerrigan / 3455 дней назад
ipv6 ready BnW для ведрофона BnW на Реформале Викивач Котятки

Цоперайт © 2010-2016 @stiletto.