Отдал бы и ползарплаты! Войти !bnw Сегодня Клубы
Захотел накодить на шелле программу, суть такова: каждую секунду выводит в stdout число пришедших в stdin за последние десять секунд символов. Сосу хуи из-за того, что запущенные с & хуйни не едят stdin. Что бы заюзать?
#AFQDU6 / @l29ah / 3179 дней назад

disown?

#AFQDU6/I3K / @minoru / 3179 дней назад
@minoru Как он меня спасёт?
#AFQDU6/6MF / @l29ah --> #AFQDU6/I3K / 3179 дней назад
ЯП
#AFQDU6/YI1 / @ndtimofeev / 3179 дней назад
@ndtimofeev У меня нет под рукой компилятора статических бинарников под арм для х-ля :(
#AFQDU6/R2G / @l29ah --> #AFQDU6/YI1 / 3179 дней назад

@l29ah Когда комментировал, я думал, что понимаю проблему. Теперь я уже ничего не понимаю. Покаж чо ты там написал уже.

#AFQDU6/WHQ / @minoru --> #AFQDU6/6MF / 3179 дней назад
@minoru #!/bin/zsh i=0 while :; do echo lolk wc -l & #{ while read a; do echo $a; done; } & #cat > $i & sleep 1 kill %1 i=$((i+1)) done
#AFQDU6/KFD / @l29ah --> #AFQDU6/WHQ / 3179 дней назад

@l29ah wc, если его убить, ничего тебе не покажет же

#AFQDU6/T5B / @minoru --> #AFQDU6/KFD / 3179 дней назад
Лан, если через полчаса не придумаю чо делать, объявлю эту ночь ночью сборки чрута с мюслями и ghc.
#AFQDU6/2C2 / @l29ah / 3179 дней назад
@minoru Похуй на wc, думай в cat > $i &
#AFQDU6/X85 / @l29ah --> #AFQDU6/T5B / 3179 дней назад

@l29ah timeout 10 sh -c "cat >$i" ок?

#AFQDU6/ESQ / @minoru --> #AFQDU6/X85 / 3179 дней назад
@minoru Не работает.
#AFQDU6/8BM / @l29ah --> #AFQDU6/ESQ / 3179 дней назад
пиши на сишке или соси хуй
#AFQDU6/0I3 / @mugiseyebrows / 3179 дней назад
@minoru О, с --foreground работает, чмак.
#AFQDU6/Q0Y / @l29ah --> #AFQDU6/ESQ / 3179 дней назад

@l29ah Велкам.

#AFQDU6/KH3 / @minoru --> #AFQDU6/Q0Y / 3179 дней назад
#!/bin/zsh i=0 j=10 while :; do timeout --foreground 1 sh -c "cat > $j" cat `seq $i $j` | wc -l i=$((i+1)) j=$((j+1)) done
#AFQDU6/GZQ / @l29ah / 3179 дней назад
node.js
#AFQDU6/1K3 / @krkm / 3179 дней назад
Блядь, на целевой хуйне нет coreutils.
#AFQDU6/3ZH / @l29ah / 3179 дней назад
ipv6 ready BnW для ведрофона BnW на Реформале Викивач Котятки

Цоперайт © 2010-2016 @stiletto.