Отдал бы и ползарплаты! Войти !bnw Сегодня Клубы
Реквестирую IDE или набор дискретных софтин под прыщи, чтобы поиграться с каким-нибудь hardware description language (пофиг какой, пока смотрю на верилог). На компиляцию пофиг (плисок никаких один хрен нету), хочу преимущественно крутой симулятор: задавать входы, смотреть времянки разных сигналов, искать гонки, етц. Пока попробую потыкать qucs, там вроде чото было на тему.
Рекомендовали: @o01eg
#U76RL4 / @lexszero / 4503 дня назад

кукс вполне на потыкаться ящитаю.
#U76RL4/OLC / @stiletto / 4503 дня назад
@stiletto чото я ниасилил запихать в него верилог. насколько я почитал /usr/bin/qucsdigi, он только вхдл нормально умеет, а он мне показался блевотнее верилога :3 поставил iverilog, симулирую несинтезируемым $display и ручной дрочкой клока :3
#U76RL4/MBL / @lexszero --> #U76RL4/OLC / 4503 дня назад
@lexszero lexs@nyapad ~/tmp/hdl $ cat counter.v module counter(input clk, input reset, output reg [3:0] q); always @ (posedge reset) q <= 4'b0000; always @ (posedge clk) q <= q + 1'b1; endmodule module main; reg clk; reg reset; wire [3:0] q; counter cnt(clk, reset, q); initial begin $display("Hello!"); clk = 0; reset = 1; #1; reset = 0; end always begin clk <= !clk; #1; end always @ (clk) $display("clk=%b q=%b", clk, q); endmodule lexs@nyapad ~/tmp/hdl $ iverilog counter.v -o counter lexs@nyapad ~/tmp/hdl $ ./counter | head -n 10 Hello! clk=0 q=xxxx clk=1 q=0000 clk=0 q=0001 clk=1 q=0001 clk=0 q=0010 clk=1 q=0010 clk=0 q=0011 clk=1 q=0011 clk=0 q=0100 ДА У МЕНЯ ЖЕ ОЛОЛОГИКА!!111
#U76RL4/91G / @lexszero --> #U76RL4/MBL / 4503 дня назад
ipv6 ready BnW для ведрофона BnW на Реформале Викивач Котятки

Цоперайт © 2010-2016 @stiletto.