Где блекджек, где мои шлюхи? Ничерта не работает! Войти !bnw Сегодня Клубы
УНЯНЯ. У нас есть немножечко инфы об этом пользователе. Мы знаем, что он понаписал, порекомендовал и даже и то и другое сразу. А ещё у нас есть RSS.
Теги: Клубы:

silver astroturfer

#A0PIOM (0) / @kerrigan / 2462 дня назад

Настало время признаться - я(и не только я) рисую кривые картиночки на постоянной основе https://vk.com/oekakiwin

#B6M9RY (22+5) / @kerrigan / 2528 дней назад

Не стоит убивать курицу несущую возмездие во имя луны

#D30JVE (4+1) / @kerrigan / 2746 дней назад

Alice in Toolchains

#IZZ7I3 (1) / @kerrigan / 2758 дней назад

6D5S89 - checked. Было несложно, но БЛЖАД ПОЧЕМУ СТОЛЬКО ДОХУЯ МАШИН? Проблема даже не в машинах, а в том как съехать на дорогу с тротуара. По времени заняло примерно столько же как метро, может минут на 5 меньше.

#3G8VCS (6) / @kerrigan / 2949 дней назад

Я ебанулся и хочу доехать до работы на стриде, 4.9км вроде терпимое расстояние.

#6D5S89 (5) / @kerrigan / 2956 дней назад

Мечтают ли гиноиды о матриархате?

#TJ9A3V (0) / @kerrigan / 3068 дней назад
Параметрический полианархизм
#3BY4WO (0) / @kerrigan / 3131 день назад
Тест на IDDQD
#LSHIYN (0) / @kerrigan / 3150 дней назад
Внутривенный еврей
#G8VOWZ (0) / @kerrigan / 3251 день назад
Лень ставить netbeans, пилю кусок кода через beanshell
#BF6P2T (0) / @kerrigan / 3277 дней назад
Профдефлорация
#JLTA5I (0+2) / @kerrigan / 3320 дней назад
АНАРХИЯ КЛАССОВ
#5LHIM8 (0+1) / @kerrigan / 3364 дня назад
Дилд-сервер
#TS9YPY (0) / @kerrigan / 3413 дней назад
Бульбулятор терминала
#HCNZXL (0+1) / @kerrigan / 3418 дней назад
BAWWWWWW64 encoding
#R44JXC (0) / @kerrigan / 3425 дней назад
Блоббизм
#5LYSNG (0+1) / @kerrigan / 3447 дней назад
Бнвачер приходит за аутизмом, видит куркуму и пишет на жабоскрипте
#XXNFP3 (3+4) / @kerrigan / 3448 дней назад
Бордель-притон "Узбечка на гречке"
#ZSIEE3 (3) / @kerrigan / 3474 дня назад
Читаю книжку про аутистов, проигрываю каждый раз, когда его психолог начинает доебывать вопросами, а ему НЕПРИЯТНО.
#CJBBI8 (0+1) / @kerrigan / 3483 дня назад
ipv6 ready BnW для ведрофона BnW на Реформале Викивач Котятки

Цоперайт © 2010-2016 @stiletto.