Шлюхи без блекджека, блекджек без шлюх. Войти !bnw Сегодня Клубы
Привет, TbI — HRWKA! 1235.1 пользователей не могут ошибаться!
?6906
прекрасное6424
говно5884
говнорашка5512
хуита4695
anime3055
linux2643
music2612
bnw2588
рашка2559
log2336
ололо2113
pic1809
дунч1734
сталирасты1488
украина1437
быдло1434
bnw_ppl1364
дыбр1237
гімно1158

Вот вы говорите, блокировки, автономный интернет. А через 10 лет, когда тупо депрекейтнут ipv4, российские абоненты тупо не смогут коммуницироваться с остальным миром из-за похуизма и нищеты провайдеров. Останется один-два крупных.
#P5HE4H (3) / @bazar / 1804 дня назад
# strace -f hostname -f … socket(PF_INET, SOCK_DGRAM|SOCK_NONBLOCK, IPPROTO_IP) = 3 connect(3, {sa_family=AF_INET, sin_port=htons(53), sin_addr=inet_addr("85.21.192.3")}, 16) = 0 poll([{fd=3, events=POLLOUT}], 1, 0) = 1 ([{fd=3, revents=POLLOUT}]) sendto(3, "r\35\1\0\0\1\0\0\0\0\0\0\0\0\34\0\1", 17, MSG_NOSIGNAL, NULL, 0) = 17 poll([{fd=3, events=POLLIN}], 1, 5000) = 0 (Timeout) socket(PF_INET, SOCK_DGRAM|SOCK_NONBLOCK, IPPROTO_IP) = 4 connect(4, {sa_family=AF_INET, sin_port=htons(53), sin_addr=inet_addr("213.234.192.8")}, 16) = 0 poll([{fd=4, events=POLLOUT}], 1, 0) = 1 ([{fd=4, revents=POLLOUT}]) sendto(4, "r\35\1\0\0\1\0\0\0\0\0\0\0\0\34\0\1", 17, MSG_NOSIGNAL, NULL, 0) = 17 poll([{fd=4, events=POLLIN}], 1, 3000) = 0 (Timeout) socket(PF_INET, SOCK_DGRAM|SOCK_NONBLOCK, IPPROTO_IP) = 5 connect(5, {sa_family=AF_INET, sin_port=htons(53), sin_addr=inet_addr("127.0.0.1")}, 16) = 0 poll([{fd=5, events=POLLOUT}], 1, 0) = 1 ([{fd=5, revents=POLLOUT}]) sendto(5, "r\35\1\0\0\1\0\0\0\0\0\0\0\0\34\0\1", 17, MSG_NOSIGNAL, NULL, 0) = 17 poll([{fd=5, events=POLLIN}], 1, 6000) = 0 (Timeout) poll([{fd=3, events=POLLOUT}], 1, 0) = 1 ([{fd=3, revents=POLLOUT}]) sendto(3, "r\35\1\0\0\1\0\0\0\0\0\0\0\0\34\0\1", 17, MSG_NOSIGNAL, NULL, 0) = 17 poll([{fd=3, events=POLLIN}], 1, 5000^CProcess 28614 detached <detached ...> У ping, dig и прочих wget проблем с резолвером не возникает. Что у меня сломалось?
#OM8FEK (1) / @l29ah / 3156 дней назад
ipv6 ready BnW для ведрофона BnW на Реформале Викивач Котятки

Цоперайт © 2010-2016 @stiletto.